« Back To Vision & Imaging Industry Insights
AIA Logo

Member Since 1984

LEARN MORE

AIA - Advancing Vision + Imaging has transformed into the Association for Advancing Automation, the leading global automation trade association of the vision + imaging, robotics, motion control, and industrial AI industries.

Content Filed Under:

Industry:
N/A

Application:
Visual Inspection & Testing Visual Inspection & Testing

Machine Vision Plays Even More Important Role in 300m Wafer Processing

POSTED 05/31/2002  | By: Nello Zuech, Contributing Editor

The semiconductor industry is migrating to 300 mm wafers. Although the migration has been in 'fits and starts,' it is inevitable. The current downturn in the semiconductor market as a result of inventory corrections has undoubtedly delayed the migration as capital spending was put on hold. Nevertheless, early adopters have proceeded with their 300 mm deployment plans and other companies will follow in order to remain competitive.

Along with larger wafers, the industry is adapting to new materials, such as copper and low-k dielectrics as well as migrating to finer and finer line widths. Feature sizes are quickly approaching 0.1 micron. Controlling the process and finding production concerns earlier in the manufacturing cycle is more important than ever. At the same time, the inspection and metrology requirements are becoming more demanding.

In the case of machine vision-based implementations, this means higher resolution without sacrificing time and sensitivity. Challenges include uniformity of lighting as well as intensity to achieve adequate signal-to-noise to achieve sensitivity required. Finer line widths ultimately require UV lighting to achieve sensitivity required in bright field measurement mode.

In addition, yield-killing defects are smaller and may be experienced below the surface. With many integrated circuits consisting of five or more levels of metal interconnect and with each level consisting of many component layers of insulating and conducting material, the likelihood that a defect is not detected until it is buried increases. This suggests end-of-process inspection is not adequate and more immediate post-step inspection is required.

With product cycles getting shorter with each new generation of IC technology and with the selling price of new products declining more rapidly, profitability requires the highest yield possible. While machine vision-based metrology and inspection may have been treated as a non-value adding expense in the past, given the increased value associated with 300 mm wafers, effective use of machine vision will see significant gains in yield, lower scrap and lower rework. In other words, the value of machine vision-based tools is greater than ever and a most justifiable capital expense.

A major trend today, driven by the demand for improved yields when processing higher valued 300 mm wafers with finer line width, is integrated metrology to provide in process monitoring - inspection of processed wafers immediately after or virtually during each value adding process. In some cases the number of die at risk more than double on 300 mm wafers, which corresponds to wafers of substantially more value than processed 200 mm wafers. The goal is not only to find defects but to also provide the means to review them and classify them to determine the source of the problem. Ultimately the objective is to tie defect classification to root causes and corrective action.

In addition to immediate diagnostics on the process, integrated metrology yields higher throughput and increased capital productivity as a consequence. Equipment suppliers are teaming up with metrology tool suppliers to provide this integrated capability to their customers. Both thin film and critical dimension monitoring are now being offered as in-situ measurement tools and overlay registration and macro defect inspection will shortly be included.

In 300-mm processing bare wafer flatness and surface properties are even more critical. Requirements typically include measurement range on the order of 30 microns, resolution of 0.01 micron, accuracy 0.05 micron and repeatability of 0.05 micron and measurement times of less than 5 seconds per part. Typically bow is separated from average thickness measurement and mapped separately. Many instruments performing flatness measurements are based on mechanical stylus profilers. KLA-Tencor, offers an instrument with 1 nm vertical resolution. Their stylus-based profilometers are being used to measure post-etch depth of high aspect ratio structures associated with dual damascene, as well as the global measurement capability to handle post-chemical mechanical planarization (CMP) applications, supporting design rules down to 0.15 micron. Requirement may include detection of concerns such as polishing dimples, mounds, nano-pits/ridges and dopant striation rings.

Companies offering non-contact products based on interferometry include ADE/Phase Shift, Corning Tropel, Veeco/Wyko, Wavefront Sciences and Zygo. Interferometric approaches typically image the entire wafer at once or large sub-regions, unlike techniques using scanned probes. OG Technology has demonstrated a machine vision system that measures the flatness of wafers up to 300 mm in diameter. The principle is based on measuring the total internal reflection in a fluid that covers the wafer. It uses a high-resolution camera to obtain a Z resolution of 0.1 micron, accuracy to 1 micron over a range of 100 microns. It makes 1,000,000 measurements in about 25 seconds.

Schmitt Measurements Systems offers products that measure surface roughness. It is also understood that at least one company - ADE - offers a flatness-measuring device based on capacitance.

It has been observed that with the implementation of CMP planarization processes for shallow trench isolation, the topography of the wafer is becoming a more significant factor. Nanotopography is defined as the deviation of a surface within a spatial wavelength of around 0.2 to 20 mm. This is a parameter that measures the front-surface, free-state topology of an area, which can range in size from fractions of a millimeter to tens of millimeters. This differs from front-referenced site flatness since the wafer is measured in a free state, while for flatness it is referenced to a flat chuck.

If a wafer has surface irregularities on the front and backside of the wafer, but front and back surfaces are parallel, the wafer has perfect flatness. However, the same wafer will exhibit nanotopography. Nanotopography bridges the gap between roughness and flatness in the topology map of wafer surface irregularities in spatial frequencies. As line widths shrink, with non-uniform pattern density and with the use of hard pads for CMP, nanotopography may degrade the dielectric film uniformity. Nanotopography of a silicon wafer is dictated to a large extent by the polishing process.

Surface Inspection
Unpatterned wafers are also inspected for surface contamination and defects. In some cases the same instrument that measures for flatness has multiple sensor modalities that can also check for geometric surface concerns. Wafers are inspected for three different types of defects - particles and contaminants, planar or patterned marks, and surface quality such as haze. Haze is an indication of the surface quality of the wafer. A laser based scanning system that records the amount of light scattered by a surface when a given amount of light illuminates that surface typically performs this.

The wafers are chemical- mechanical polished (CMP) to remove scratches and impurities. Critical defects include micro scratches, pattern deformations, and residual metal tungsten. Post CMP inspection looks for concerns such as: inadequate cleaning which may have left residual slurry or other particulate matter on the wafer or surface voids in the metals or in the diffusion layers because embedded particles prevented proper adhesion. There could also have been micro scratches caused by the process. The metal layers may also have defects including puddles, voids, metal deposited scratches and cored or recessed plugs.

Wafer inspection systems are generally based on one of two detection techniques or combine the two: bright field and dark field. These are used for wafer monitoring after processes such as film deposition and chemical mechanical polishing. Defects detected are classified to improve throughput and accuracy of defect inspection. Defect data is typically stored in a defect database, which provides the focus for wafer defect and review activities.

Wafer Handling
As wafers increase in size to 300 mm, handling them becomes more challenging. There is an apparent opportunity for machine vision systems to actually monitor the material handling robot as they pick up and position wafers. The equipment has to be calibrated essentially in real-time.  The vision systems look at the machine itself and monitor the mechanical parts of the machine. Tracking becomes more important given the increased value of the wafer. Machine vision systems with OCR software can read the alphanumeric content or 2D symbology.

Thin film Thickness
There are many process steps that involve film thickness measurements: Pre- and post-CMP thickness and uniformity results may be used to adjust the polishing time; metal CMP - scan for copper residues after copper CMP processes and large defects such as scratches or particles; dielectric CVD - measure film thickness, uniformity and n & k to confirm the deposition times, temperatures, gas flows, etc; timed etch - test pre and post-etch oxide thickness and uniformity to adjust etch times, etc.; photo resist spin-on processes - check for defects; spin-on materials, such as low-k glasses - verify correct thickness, uniformity and optical constants; oxidation - confirm oxide thickness and uniformity. Thin film layers range from less than 1 nm to 100 microns. Instrument accuracies of 0.5% of the thickness are required with repeatabilities of 0.2%.

The two main classes of thin-film measurement are optical and stylus/contact-based techniques. Stylus measurements measure thickness and roughness by monitoring the deflections of a fine-tipped stylus as it is dragged along the surface of the film. Stylus instruments are limited in speed and accuracy, and they require a 'step' in the film to measure thickness. Optical/non-contact techniques determine thin-film characteristics by measuring how the films interact with light. Optical techniques can measure the thickness, roughness, and optical constants of a film. Optical constants describe how light propagates through and reflects from a material. Once known, optical constants may be related to other material parameters, such as composition and band gap.

Two common optical measurement types are spectral reflectance and ellipsometry. Spectral reflectance measures the amount of light reflected from a thin film over a range of wavelengths, with the incident light normal (perpendicular) to the sample surface. Ellipsometry is similar, except that it measures reflectance at non-normal incidence and at two different polarizations. In general, spectral reflectance is much simpler and less expensive than ellipsometry, but it is restricted to measuring less complex structures.

As line width decreases in size and wafers increase to 300 mm, controlling uniformity and quality of films becomes even more important to yield. While until now most of these products have been used in laboratory settings or offline, the current trend is to integrate these instruments right into the production processes. Integration may require additional capabilities such as notch finding, OCR and particle counting. Requirements are found in both unpatterned and patterned wafer processing.

Companies offering these products include: Accent Optical Technologies, ADE, Rudolph Research, J.A. Woolam Co., Filmetrics, Chapman Instruments, ThermaWave, Nanometrics, KLA-Tencor, Leica Microsystems, NanoPhotonics AG, Tevet and Veeco. The Filmetrics spectral reflectance measuring instrument uses a CCD-based arrangement to map the thickness across the entire wafer acquiring data at 6 million points per second rates.

Approaches also being adapted to film thickness measurements besides ellipsometry and spectral reflectance include photo-acoustics (offered by Philips Analytical), scatterometry (also measures critical dimensions), picosecond ultrasonic laser sonar (Rudolph Technology), metal illumination technique where thickness is extrapolated from electrical resistivity measurements (Boxer Cross), Fourier transform infrared (FTIR) (On-Line Technologies, Accent Optical Technologies), X-ray fluorescence (Veeco, Fischer Technology) and thickness decomposition based on spectral analysis (Tevet Process Control Technologies).

Challenges associated with this application include additional requirements other than simply measuring film thickness, such as, measuring refractive index, absorption coefficient and reflectivity. Another is the requirement to make measurements on film stacks and still another is dealing with both patterned and unpatterned wafer applications. Horizontal alignment and proper focusing for both patterned and unpatterned wafers can be a challenge. Often systems include machine vision-based pattern recognition modules to allow repeatable positioning of measurement spots or areas and these must be immune to color, size and contrast variations.

Patterned Wafers
While implantation and diffusion steps can also be monitored based on electrically measured properties, most of the following key lithographic or patterning processes (which include spin/bake, align, expose, and etch) can only be monitored by optical techniques.

To form patterns on a silicon wafer, a photographic process of great precision is used.  A thin metal layer on a glass or quartz plate (the photo mask) contains an image of the desired pattern.  A layer of photosensitive material (resist) is spread on the surface of the silicon wafer and dried.  It is exposed to light (today often ultraviolet) through the mask to cause chemical changes in certain areas of the resist.  The pattern is developed chemically, leaving areas of the wafer covered with resist while other areas are clear. This is a process similar to printing a photograph from a negative. A machine called a stepper repeats this process for each chip on the wafer.



 

The exposed areas of the photo resist become hardened. During the development process, non-hardened photo resist is washed away. For a diffusion or implantation process, the wafer may then be etched to remove silicon dioxide in the clear areas, following which the remaining resist is stripped away. What is formed is a 3D version of the photolithography pattern. These steps are repeated to build up patterns of silicon dioxide, metals and other materials to complete the circuit design.

Portions of some layers are chemically altered to control the flow of electricity. Atoms of a dopant material are forced into an area via chemical exposure and heating or by ion bombardment in a process called doping. Doping during diffusion or implantation reaches the silicon only through the windows in the oxide, but not elsewhere.  Or, for implantation of metal deposition processes, the resist may be left in place.  After the resist is stripped off, leaving a pattern of doping from the implant or a pattern of metal from the deposition.

Frequently it is necessary to provide an inorganic coating on the semiconductor wafer to protect it from exterior influences.  This is called a passivation step.  The last step involves metallization - evaporation in vacuum of thin metal films onto selected areas to provide the interconnections required. A layer of conducting metal (usually aluminum but today copper is emerging as an alternative) is deposited (CVD or PVD), exposed (photolithography) and etched to form tiny metal interconnects. Complex chips require several metal layers with vertical connections between them called vias.

Non-contact film thickness measuring instruments are used.  These include techniques based on UV refection spectrophotometry and ellipsometers.  In addition to thickness information based on optical properties and reflectivities, these techniques enable tighter critical dimension (CD) control as well as optimization of stepper exposure time.

Etch engineers want to be able to detect thicknesses before and after etch to better control etch rate.  Diffusion engineers map thickness of unpatterned oxide layers as a means to evaluate gate oxide integrity.  CVD engineers are interested in monitoring the refractive index and thickness of nitride and oxynitride films to evaluate film quality.  KLA-TENCOR, Zeiss, Dainippon Screen, Photo Acoustic Technology, Process Control and Nanometrics offer UV or visible or combination spectrophotometers.

KLA-TENCOR does reflectivity mapping. Leica offers a combined visible refection spectrophotometer/ellipsometer. Gaertner Scientific, GRQ Instruments, Leica, Plasmos GmbH, Sopra and Rudolph Research offer an instrument based on ellipsometry.  Thermo-Wave offers a laser based optical probing technique.  Kevex, AXIC, HNU Systems, Noran Instruments, Rigaku, Seiko Instruments, Veeco and Twin City International offer X-Ray fluorescence based instruments to measure thickness of metal layers.

Some of these instruments are designed as post process inspection devices and some as integrated metrology instrumentation.  Other companies also listed as suppliers of film thickness measuring instruments include: Axic, Balzers, Accent Optical Technologies, Bruker Analytishe, Intelemetrics, JEOL, Leybold Inficon, Maxtex, Nicolet, Plasmos Prozesstechnik, Temescal, Ulvac, Veeco, Verity Instruments, Xinix, and Zeiss. Some of these companies are suppliers of the film deposition equipment itself and offer embedded thickness measuring as an option.  In some cases they may be OEMS for one of the other instrument makers.

After-develop inspection includes critical dimensions and overlay registration as well as inspection for both macro-defects and micro-defects.

Macro-Defect Inspection
Automated macro inspection systems are now on the market that can detect defects ranging in size from 50 microns to full-wafer. Archival storage of each inspection is available. The wafer maps derived can be used for defect analysis, stacking and generating Paretos. KLA-Tencor, Nidek, ISOA, STI and August Technology are companies offering systems for macro-defect inspection.

Micro-defect Inspection
Sample inspection is generally performed after the develop and bake cycle and after the etch cycle and before the diffusion stage.  This usually involves a die-to-die and die-to-reference image comparison.  Patterned wafer Inspection systems are used to inspect for surface defects. These include systems based on machine vision as well as systems based on TV interferometers, Flying spot scanners, SEMS. Patterned wafer defects consist mainly of particles or contamination on or near the surface, scratches, missing patterns and area defects. 

Suppliers of these systems include Applied Materials, KLA-TENCOR, Inspex, ADE Optical Systems, Angstrom Measurements, Accent Optical Systems, Veeco/Digital Instruments, Eutechnics, Hitachi Scientific Instruments, Irvine Optical, Leitz, MicroMetric, Nanometrics, Nikon, JMAR/Pacific Precision Labs, Olympus, Santec USA, Zygo Vision Systems (formerly Sight Systems), Techne/Electroglas, Technical Instruments, Terra Universal, Topcon, TopoMetrix, Unitron and Zeiss.  Such systems basically check for both pattern defects and particles, though not all do both.

Some also can only handle single layers and some are geared for on-line operation versus off-line.  Those based on light scattering techniques (ADE Optical Systems, Hitachi, Inspex, and KLA-Tencor) are generally in-line and only suitable for finding particles or geometric problems.  KLA-Tencor, Lasertec, Leica, Nano-Master and Nanometrics offer ability to handle multiple layers.

Off-line inspection is suitable for statistical quality control and engineering analysis, including verification of reticle quality and stepper set up. Some instruments inspect single layers on specially prepared wafers. The objective is qualification of a photolithographic process via the inspection of a resist image on a patterned test wafer that goes through a process prior to processing product wafers.  Significantly, on-line does not necessarily mean a 100% inspection-it, too, may be on a sample basis especially where imaging is involved.

Scanning electron microscopes with review stations are also used to perform these inspections.  These are manufactured by: KLA-Tencor/ Amray, Applied Materials, JEOL, Seiko and Accent Optical Technologies.

Applications for patterned wafer defect inspection generally fall into five categories. 1) Image qualification refers to verifying that exposure equipment images are defect free.  2) Partitioning/engineering analysis is utilized for process characterization and the elimination of defect causing mechanisms.  3) Foreign material monitoring examines contamination levels in process equipment and process segments.  4) Sector limited yield uses process monitoring to detect defect density excursions and to monitor yield in specific portions (sectors) of a process cycle.  5) Develop inspection refers to verification of photo resist pattern integrity prior to wafer etching or implantation.

Where defects are detected, that information is fed to a review station where an operator or an automatic defect analyzer revisits each site to verify and classify the condition.  The goal is to understand types, locations and distribution of defects and their effects on yield.  Today, some products use neural nets to automate the classification step to eliminate inspector subjectivity. Leica and KLA-Tencor offer rework stations that perform automatic defect classification.

Critical Dimensions
In addition to pattern matching applications there are also instruments that perform critical dimension (CD) measurements and check for overlay registration.  In some cases these capabilities are built into one instrument. Machine vision techniques have been applied to performing these inspections, too, although in many cases an operator is very much in the loop interactively establishing points between which measurements are to be made.  Various products have emerged, such as automatic cursors and edge finders, to make the operator more reliable.  More and more because of the diffraction limits of optics, secondary electron microscopes are being used. Systems that are very interactive are offered by companies like: Coberly, Colorado Video, Compumetrics, Electrophysics, Hamamatsu, ITP, Technical Instruments and Vickers. 

Companies offering visible products with some automatic imaging content include: Applied Materials, Leica, MicroMetrics, Nano-Master, Nanometrics, Nikon, View Engineering, and Nanometrics.  Companies offering products based on confocal microscopy include:  Digital Instruments/Veeco, Zeiss, Lasertec, KLA-TENCOR, Siscan/Optical Associates, Technical Instruments, and Waterloo Scientific. Zygo commercialized the Scanning Tunneling Microscope invented by IBM. It is designed for critical dimension measurements of height, width, roughness and sidewall angles for wafers up to 300 mm in diameter and masks up to 9' x 9'.

Companies offering products based on scanning electron microscopy include: Amray, Angstrom Measurements, Hitachi, JEOL, Metrologix, Accent Optical Technologies, Nanometrics, Applied Materials, Philips Instruments, and Topcon.  Significantly, CD measurements are only made on a sampling basis on any given wafer.  It is also noted that CD SEM equipment is not particularly well suited for overlay measurements.  What has emerged are optical, dedicated overlay tools to complement the CD SEM equipment. Using high-resolution machine vision-based techniques, defects such as pattern repeaters, line CD variations and missing contacts/vias due to reticle and other process issues can be detected. Capture of these defects immediately after developing allows rework of the resist and avoids scrapping the wafers.  Companies supplying this type of inspection equipment include August Technology, KLA-Tencor and Applied Materials.

Some of the online thickness measuring instruments, such as the one from KLA-Tencor, also makes critical dimension (CD) measurements that provide details of conductor sidewall angle and profile and height as well as width.  As line widths become smaller, the actual 3D shape of the conductor becomes more important to monitor during resist processes, etc. The KLA-Tencor SpectraCD measures targets as small as 50 X 50 micron and provides sub-nanometer three sigma precision at speeds of more than 70 wafers per hour (200 mm) and 60 per hour (300 mm). Other companies offering systems for critical dimension measurements include: Nanometrics, MicroMetrics, Applied Materials and Metron Technology/Zeiss.

Timbre Technologies/TEL offers an in-line Optical Digital Profilometry (ODP) CD-metrology and profiling system which combines the capabilities of profile, CD, and film thickness measurements at geometries of 0.18µ and below. The approach employs ordinary spectrographic ellipsometers and reflectometers such as those already used for thin film measurement. The system is designed to provide CD, profile and multi-layer film thickness metrology for etch and lithography modules.

Current forecasts are suggesting that minimum feature sizes will have to be controlled to less than 7 nm, three-sigma to meet 100-nm line widths by 2005. This means the total contribution, across wafer and wafer-to-wafer, of the resist process. Tools that perform critical dimension tools generally integrate overlay registration capability as well. The near-term target for this measurement is 35 nm, 3-sigma.

Backside Inspection
Patterned wafers must also be inspected for particles and other contamination and geometric surface irregularities such as scratches. While in the past only front-side inspection was required, today with finer line width and high valued 300 mm wafers, backside inspection is equally important. Concerns detected on the backside can create hot spots on the front side of the wafer. Systems used to inspect unpatterned wafers can also be used to inspect the backside of the wafer. Because the backside may be rough, detecting defects such as particles and scratches on the backside presents different challenges from detecting conditions such as microbubbles in a thin film of resist on the front side.

Conclusion
While, as the semiconductor industry continues to migrate to ever-smaller line width, demand for process diagnostic instruments that operate strictly in the optical domain will decline, nevertheless optical inspection will endure. Significantly, many of the underlying principles associated with optical-based machine vision techniques apply as well as to UV and e-beam-based instruments. In some cases optical techniques still have advantages. For example, color cues that often reflect the property that correlates to specific concerns can only be seen with optically based techniques. In the case of automatic defect review and classification workstations, traditional machine-vision-based approaches are still important. In other words, to paraphrase Mark Twain, the death of optically based machine vision in the semiconductor industry is highly exaggerated.